---------------------------------------------------------------------------- -- blink.vhd -- MicroZed simple VHDL example -- Version 1.0 -- -- Copyright (C) 2014 H.Poetzl -- -- This program is free software: you can redistribute it and/or -- modify it under the terms of the GNU General Public License -- as published by the Free Software Foundation, either version -- 2 of the License, or (at your option) any later version. -- -- Vivado 2013.4: -- mkdir -p build.vivado -- (cd build.vivado && vivado -mode tcl -source ../vivado.tcl) -- -- zynq-uboot> mw.w 0xf8000008 0xDF0D *unlock* -- zynq-uboot> mw.w 0xf8000900 0xF *lvl shifters* ---------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.ALL; library unisim; use unisim.VCOMPONENTS.ALL; entity blink is end entity blink; architecture RTL of blink is signal clk_cfg : std_logic; signal clk_cfgm : std_logic; signal blue_led : std_logic; signal blue_led_n : std_logic; signal ps_fclk : std_logic_vector(3 downto 0); begin ps7_stub_inst : entity work.ps7_stub port map ( ps_fclk => ps_fclk ); div_led_inst : entity work.async_div generic map ( STAGES => 28 ) port map ( clk_in => ps_fclk(0), clk_out => blue_led ); blue_led_n <= not blue_led; STARTUPE2_inst : STARTUPE2 generic map ( PROG_USR => "FALSE", -- Program event security feature. SIM_CCLK_FREQ => 0.0 ) -- Configuration Clock Frequency(ns) port map ( CFGCLK => clk_cfg, -- 1-bit output: Configuration main clock output CFGMCLK => clk_cfgm, -- 1-bit output: Configuration internal oscillator clock output EOS => open, -- 1-bit output: Active high output signal indicating the End Of Startup. PREQ => open, -- 1-bit output: PROGRAM request to fabric output CLK => '0', -- 1-bit input: User start-up clock input GSR => '0', -- 1-bit input: Global Set/Reset input (GSR cannot be used for the port name) GTS => '0', -- 1-bit input: Global 3-state input (GTS cannot be used for the port name) KEYCLEARB => '0', -- 1-bit input: Clear AES Decrypter Key input from Battery-Backed RAM (BBRAM) PACK => '0', -- 1-bit input: PROGRAM acknowledge input USRCCLKO => '0', -- 1-bit input: User CCLK input USRCCLKTS => '0', -- 1-bit input: User CCLK 3-state enable input USRDONEO => '0', -- 1-bit input: User DONE pin output control USRDONETS => blue_led_n ); -- 1-bit input: User DONE 3-state enable output end RTL;